Package: cocotb Version: 1.2.0-c4m.0.0 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 1279 Depends: python Filename: ./amd64/cocotb_1.2.0-c4m.0.0_amd64.deb Size: 199128 MD5sum: 1604001ed76b6f447426ff00d28be258 SHA1: 10aaa95c62b001b38d90cbe1a01d6dc3ec918976 SHA256: fb227ed3abd96ae7ca206defc75b5c80503f4d614f95573067b4f30b706c18f6 Section: electronics Priority: extra Description: COroutine based COsimulation TestBench cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL/Verilog RTL using Python. . cocotb is completely free, open source (under the BSD License) and hosted on GitHub. . cocotb requires a simulator to simulate the RTL. Simulators that have been tested and known to work with cocotb: . Linux Platforms * Icarus Verilog * GHDL * Aldec Riviera-PRO * Synopsys VCS * Cadence Incisive * Mentor ModelSim (DE and SE) . Windows Platform * Icarus Verilog * Aldec Riviera-PRO * Mentor ModelSim (DE and SE) . https://cocotb.readthedocs.io Package: ghdl Version: 1.0.1.dev20210706.git1285cbf-c4m.1+4.1 Architecture: amd64 Maintainer: Chips4Makers Installed-Size: 20221 Depends: libc6 (>= 2.14), libgcc1 (>= 1:3.0), libgnat-8 (>= 8-20180414-1ubuntu2), zlib1g (>= 1:1.2.0) Filename: ./amd64/ghdl_1.0.1.dev20210706.git1285cbf-c4m.1+4.1_amd64.deb Size: 3029968 MD5sum: 8135326ce4c6d1289645c558386c7381 SHA1: 016078f322a9d76c6fb655c0d02a3eaa803d1550 SHA256: c1e70e323a088a42dd143432700fa98ffe4fa5852d204f27c03adfef7fc50317 Section: electronics Priority: extra Description: GHDL GHDL is the open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources for generating machine code from your design. Native program execution is the only way for high speed simulation. Package: ghdl-yosys-plugin Version: 0.0.0.dev20210327.git5fad8b9-c4m.1+4.4 Architecture: amd64 Maintainer: Chips4Makers Installed-Size: 129 Depends: ghdl, yosys Filename: ./amd64/ghdl-yosys-plugin_0.0.0.dev20210327.git5fad8b9-c4m.1+4.4_amd64.deb Size: 36152 MD5sum: 1ecfa799eaeea0f19cccce0a986c5ec4 SHA1: 705de9cef6ff0f3a0865c93c240fb8d88f744b46 SHA256: 1d23fc90374bc3de76c51ab4045c1d753e5b7eaf02d8a529ebf06e264cf25048 Section: electronics Priority: extra Description: VHDL synthesis VHDL synthesis (based on GHDL and Yosys) Package: gtkwave Version: 3.3.110-c4m.1+4.1 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 4490 Depends: libbz2-1.0, libc6 (>= 2.14), libcairo2 (>= 1.10.0), libgcc1 (>= 1:3.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.49.3), libgtk-3-0 (>= 3.21.5), libjudydebian1, liblzma5 (>= 5.1.1alpha+20120614), libpango-1.0-0 (>= 1.14.0), libpangocairo-1.0-0 (>= 1.14.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), zlib1g (>= 1:1.2.0) Filename: ./amd64/gtkwave_3.3.110-c4m.1+4.1_amd64.deb Size: 2381816 MD5sum: 35301f4b64ddd09ac86fbae0e8964923 SHA1: 2971dbf01211eee3b66e92f7ab99cc29c3efa087 SHA256: 801373b75a9175781d45f8f3479e79eed64c7e857368942fe10af16b8e584e29 Section: electronics Priority: extra Description: Waveform Viewer GTKWave is a fully featured GTK+ based wave viewer for Unix and Win32 which reads LXT, LXT2, VZT, FST, and GHW files as well as standard Verilog VCD/EVCD files and allows their viewing. Package: iverilog Version: 11.0-c4m.1+5.1 Architecture: amd64 Maintainer: Chips4Makers Installed-Size: 6332 Depends: libc6 (>= 2.14), libgcc1 (>= 1:3.0), libreadline7 (>= 6.0), libstdc++6 (>= 5.2), zlib1g (>= 1:1.2.0) Filename: ./amd64/iverilog_11.0-c4m.1+5.1_amd64.deb Size: 1876960 MD5sum: d34d4f2c939052fc38bad4465879d166 SHA1: e65d288d52ff4cc5b591a99db0b5e00d18fa4894 SHA256: 684f12a79532fad0e723d25e45512b6cf5284a57baf81e2aad7bdaa13c4f0a4f Section: electronics Priority: extra Description: Icarus Verilog Icarus Verilog is a Verilog compiler that generates a variety of engineering formats, including simulation. It strives to be true to the IEEE-1364 standard. Package: klayout Version: 0.27.2-c4m.1+5.1 Architecture: amd64 Maintainer: Matthias Köfferlein Installed-Size: 175576 Depends: libc6 (>= 2.17), libgcc1 (>= 1:3.0), libpython3.6 (>= 3.6.4~rc1), libqt5core5a (>= 5.9.0~beta), libqt5designer5 (>= 5.6.0~beta), libqt5gui5 (>= 5.9.0~beta3), libqt5multimedia5 (>= 5.6.0~beta), libqt5multimediawidgets5 (>= 5.6.0~beta), libqt5network5 (>= 5.5.0), libqt5printsupport5 (>= 5.4.0), libqt5sql5 (>= 5.4.0), libqt5svg5 (>= 5.6.0~beta), libqt5widgets5 (>= 5.7.0), libqt5xml5 (>= 5.1.0), libqt5xmlpatterns5 (>= 5.9.0), libruby2.5 (>= 2.5.0~preview1), libstdc++6 (>= 5.2), zlib1g (>= 1:1.1.4) Filename: ./amd64/klayout_0.27.2-c4m.1+5.1_amd64.deb Size: 32727076 MD5sum: 4a041cbcc8a5f44cfd615693072467c9 SHA1: 1023d5e0fbfa5eacacc4d2f5f9fd3eb2535f7dd0 SHA256: 64849d87fd346ce185595ea53be75a1cfce911d553ba3221509f2a8ec15012b2 Section: electronics Priority: optional Description: Chip mask layout review and edit utility Klayout is a graphical viewer and editor for a.o. GDSII, OASIS, CIF and other files. Its features include net highlighting and XOR-ing of two layouts, scripting capabilities in Ruby and Python and much more. Package: opensta Version: 2.2.1.dev20210719.git37cd1fc-c4m.0.0 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 11722 Depends: libc6 (>= 2.27), libgcc1 (>= 1:3.0), libstdc++6 (>= 6), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.1.4) Filename: ./amd64/opensta_2.2.1.dev20210719.git37cd1fc-c4m.0.0_amd64.deb Size: 1542812 MD5sum: ae2c8d1527c720b4f09bd3da9b23ecff SHA1: af236f381f93597282edf2fef9b2b45aac804e05 SHA256: 8519108c284689de31c0d558bde99458432f333cb948cbef0ec8450e407d8316 Section: electronics Priority: extra Description: OpenSTA is a gate level static timing verifier Package: opentimer Version: 0.0.0.dev20210719.git8fb20ff-c4m.0.0 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 19716 Depends: libc6 (>= 2.14), libgcc1 (>= 1:3.0), libstdc++6 (>= 7) Filename: ./amd64/opentimer_0.0.0.dev20210719.git8fb20ff-c4m.0.0_amd64.deb Size: 1538356 MD5sum: 7d9e6aba4318043b0e8bb7dff32a4f3a SHA1: 016b786299953153bedcb591046722ff8c102c9f SHA256: 07a858900f9c67ba316c3e96d68a10660e91c1e72ab4fcb7e9558ce0803971bc Section: electronics Priority: extra Description: A High-Performance Timing Analysis Tool for VLSI Systems Package: verilator Version: 4.106-c4m.1+7.1 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 19938 Depends: zlib1g-dev, libc6 (>= 2.14) Filename: ./amd64/verilator_4.106-c4m.1+7.1_amd64.deb Size: 4364900 MD5sum: 03ca48bea98e143facb83d66e7793c7b SHA1: 6c6bcae0fcb84cc4e00f540c8bee53ffc0167e5a SHA256: cbdb87d8a2fb3df0872852c048e93b2b920c4bf5b8e36f3dc5ae964b3a70fa02 Section: electronics Priority: extra Description: A fast simulator for synthesizable Verilog Verilator is the fastest free Verilog HDL simulator. It compiles synthesizable Verilog, plus some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code. It is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to create executable models of CPUs for embedded software design teams. Package: yosys Version: 0.9+4081-c4m.1+7.1 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 39077 Depends: libc6 (>= 2.27), libffi6 (>= 3.0.4), libgcc1 (>= 1:3.0), libreadline7 (>= 6.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.1.4) Filename: ./amd64/yosys_0.9+4081-c4m.1+7.1_amd64.deb Size: 11119464 MD5sum: 16df0d427ebb1a5ed8c82c18aab081cc SHA1: 314d336e544f6341a211378c8c80ca00ce25ad97 SHA256: 07c5ff59e75877e346c54ed2e5214ccfc83bc3844ccf9913c71054627baaee86 Section: electronics Priority: extra Description: Yosys Open SYnthesis Suite This is a framework for RTL synthesis tools. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. . Yosys can be adapted to perform any synthesis job by combining the existing passes (algorithms) using synthesis scripts and adding additional passes as needed by extending the yosys C++ code base. . Yosys is free software licensed under the ISC license (a GPL compatible license that is similar in terms to the MIT license or the 2-clause BSD license). . http://www.clifford.at/yosys/