Package: alliance Version: 5.0-1+120.1 Architecture: i386 Maintainer: Jean-Paul Chaput Installed-Size: 13005 Depends: libc6 (>= 2.34) Filename: i386/alliance_5.0-1+120.1_i386.deb Size: 1520628 MD5sum: 0b449d4406a0e5810c9827da2bc137db SHA1: e3028456e66e1d44d87debc121fd43812e3dc6df SHA256: 49b0d0684882b061ee5a63c9c9de498bbe34719ce8c5aa47c28d1e267cab9f9a Section: electronics Priority: optional Homepage: https://soc-extras.lip6.fr/en/alliance-abstract-en/ Description: VLSI CAD Tools Alliance is a complete set of free CAD tools and portable libraries for VLSI design. It includes a VHDL compiler and simulator, logic synthesis tools, and automatic place and route tools. A complete set of portable CMOS libraries is provided, including a RAM generator, a ROM generator and a data-path compiler. Alliance is the result of more than ten years effort spent at ASIM department of LIP6 laboratory of the Sorbonne University (France). Alliance has been used for research projects such as the 875 000 transistors StaCS superscalar microprocessor and 400 000 transistors IEEE Gigabit HSL Router. . You are kindly requested to mention: "Designed with alliance (c) LIP6, Sorbonne Université" so as to spread the word about "alliance CAD system" and its development team. . Alliance provides CAD tools covering most of all the digital design flow: * VHDL Compilation and Simulation * Model checking and formal proof * RTL and Logic synthesis * Data-Path compilation * Macro-cells generation * Place and route * Layout edition * Netlist extraction and verification * Design rules checking Package: alliance Version: 5.0-1+120.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 13572 Depends: libc6 (>= 2.34) Filename: amd64/alliance_5.0-1+120.1_amd64.deb Size: 1584908 MD5sum: bdbfe2526a6c30b25767a176b7e9db57 SHA1: 63374bd0c6749e89e68ff9569e20dfe8be5a4b2b SHA256: d763b9d9db7529a6aeb77af52f7a4d386da1498aad1d11909fa23f0716cbe10e Section: electronics Priority: optional Homepage: https://soc-extras.lip6.fr/en/alliance-abstract-en/ Description: VLSI CAD Tools Alliance is a complete set of free CAD tools and portable libraries for VLSI design. It includes a VHDL compiler and simulator, logic synthesis tools, and automatic place and route tools. A complete set of portable CMOS libraries is provided, including a RAM generator, a ROM generator and a data-path compiler. Alliance is the result of more than ten years effort spent at ASIM department of LIP6 laboratory of the Sorbonne University (France). Alliance has been used for research projects such as the 875 000 transistors StaCS superscalar microprocessor and 400 000 transistors IEEE Gigabit HSL Router. . You are kindly requested to mention: "Designed with alliance (c) LIP6, Sorbonne Université" so as to spread the word about "alliance CAD system" and its development team. . Alliance provides CAD tools covering most of all the digital design flow: * VHDL Compilation and Simulation * Model checking and formal proof * RTL and Logic synthesis * Data-Path compilation * Macro-cells generation * Place and route * Layout edition * Netlist extraction and verification * Design rules checking Package: alliance-doc Source: alliance Version: 5.0-1+120.1 Architecture: i386 Maintainer: Jean-Paul Chaput Installed-Size: 2619 Filename: i386/alliance-doc_5.0-1+120.1_i386.deb Size: 821716 MD5sum: da69b601d8f85603ceebd227ab885b76 SHA1: 8f912799621c57450e2c559c535b981015cc545d SHA256: 3f3667a54c6e850465f71448c89d787212c6a1ab078b50f746c885c0e4d50986 Section: electronics Priority: optional Multi-Arch: foreign Homepage: https://soc-extras.lip6.fr/en/alliance-abstract-en/ Description: Documentation & tutorials for Alliance CAD tools Package: alliance-doc Source: alliance Version: 5.0-1+120.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 2619 Filename: amd64/alliance-doc_5.0-1+120.1_amd64.deb Size: 821600 MD5sum: 5f550bfec83d0f3511a7f4d0166e7ddf SHA1: abfcee651fdb6c46f5db9a6136a77f8077b2f5ae SHA256: 1fcd44b012832e161234cb267cd0f5d54eb171239f7a2ed3cd1d20d834c82823 Section: electronics Priority: optional Multi-Arch: foreign Homepage: https://soc-extras.lip6.fr/en/alliance-abstract-en/ Description: Documentation & tutorials for Alliance CAD tools Package: coriolis-eda Version: 2.5.5-1+239.1 Architecture: amd64 Maintainer: Mazher Iqbal Installed-Size: 471619 Depends: libboost-program-options1.74.0 (>= 1.74.0+ds1), libbz2-1.0, libc6 (>= 2.34), libgcc-s1 (>= 3.0), libqt5core5a (>= 5.15.1), libqt5gui5 (>= 5.8.0) | libqt5gui5-gles (>= 5.8.0), libqt5printsupport5 (>= 5.0.2), libqt5widgets5 (>= 5.2.0), libqwt-qt5-6 (>= 6.1.2), libstdc++6 (>= 12), python3-doit Filename: amd64/coriolis-eda_2.5.5-1+239.1_amd64.deb Size: 101473940 MD5sum: cab62aa2413c76eda3dc260eef910c79 SHA1: 1b67c0054b03704519679fbfaf4f669d076132bb SHA256: 211ccd3280c79e400ec16a55e49441ade7aa5ea467c444a99964fb98b091fabf Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: A FOSS EDA tool suite for physical design. Provides an integrated place & route for ASICs. Support symbolic layout (Alliance) and real layout (GDS). While mainly written in C++, it has an extensive Python interface. Package: coriolis-eda-dev Source: coriolis-eda Version: 2.5.5-1+239.1 Architecture: amd64 Maintainer: Mazher Iqbal Installed-Size: 32 Filename: amd64/coriolis-eda-dev_2.5.5-1+239.1_amd64.deb Size: 6992 MD5sum: 32c275aa4294fe41384d6542baf23ef5 SHA1: 991118078eb7a42eb4220dab9511b7f26355e573 SHA256: a4135cb262c3441f71a5384bfd5cec436836e6c7b13c61ab39981ba3a55b30f2 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: Development files for the Coriolis EDA toolchain. Package: coriolis-eda-doc Source: coriolis-eda Version: 2.5.5-1+239.1 Architecture: amd64 Maintainer: Mazher Iqbal Installed-Size: 64416 Filename: amd64/coriolis-eda-doc_2.5.5-1+239.1_amd64.deb Size: 12375280 MD5sum: 5f0ac5080798da49af8711cacd5b7391 SHA1: fd3f8031881bc71b0d84ef66585886a137c01432 SHA256: 6c48164a17f610f477001338ec93bac7d8580ca3aa7925327c63edb321fdac6d Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: This documentation is a subset of the Coriolis website. It provides informations for: - The Hurricane database (C++). - The Coriolis Core (CRL) infrastructure (C++). - The dedicated C++/Python wrapper (Isobar,C/C++/Python). The Python interface has been made so that it mimics closely the C++ one, so the C++ doc can be used for both. - Some technical specifications. - A general introduction and user's manual. Package: coriolis-pdk-gf180mcu Version: 2025.07.21-1+6.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 5023033 Depends: coriolis-eda Filename: all/coriolis-pdk-gf180mcu_2025.07.21-1+6.1_all.deb Size: 387531568 MD5sum: 6589f16972b2fce285bca3c2f74fb1f4 SHA1: b5295a24e56402085ea4bb4585bb80534c794aa7 SHA256: 0444ffb85bde90bb407345be1c81df296f7d22f52ab6bb7e6de3af44ea48985f Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Global Foundries 180 MCU open PDK Package: coriolis-pdk-gf180mcu-c4m Version: 2025.7.28-1+9.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 8063 Depends: coriolis-pdk-gf180mcu, yosys Filename: all/coriolis-pdk-gf180mcu-c4m_2025.7.28-1+9.1_all.deb Size: 422572 MD5sum: 8503857e1e39e7cde31c7a6063905ea8 SHA1: 65068ff3556eb29a5cbc14b6023348e3a8ce53d7 SHA256: 2e274b0d54c066e5e824967a3ca180f991b43cac82174a9afdaf0694a2da533d Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Chips4Makers PDKMaster targeted for GF 180 MCU technology. Package: coriolis-pdk-ihpsg13g2 Version: 2024.10.15-1+23.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 186050 Depends: coriolis-eda Filename: all/coriolis-pdk-ihpsg13g2_2024.10.15-1+23.1_all.deb Size: 20019756 MD5sum: 854b8e21d3663ce2fb35ed75954f05a6 SHA1: 942a3d666790a49b06c8c000e85a1b933e676163 SHA256: 9424f17f118d5dd5813e3f4aa2c7725c60d289585b6592b639f7b1ce476f4972 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Chips4Makers PDKMaster targeted for IHPSG13G2 technology. Package: coriolis-pdk-ihpsg13g2 Version: 2025.12.27-1+2.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 342545 Depends: coriolis-eda Filename: all/coriolis-pdk-ihpsg13g2_2025.12.27-1+2.1_all.deb Size: 42764696 MD5sum: 39ab994ff1b009202f9b56e91248607b SHA1: 698344947fb2386e4929f9fc3e1874764a5fc157 SHA256: c5312bf6032cdd000ddc6ae3c7a8ad55adbb9a6be2a34772489624450e05955f Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Chips4Makers PDKMaster targeted for IHPSG13G2 technology. Package: coriolis-pdk-ihpsg13g2-c4m Version: 2024.10.15-1+35.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 7448 Depends: coriolis-pdk-ihpsg13g2, yosys Filename: all/coriolis-pdk-ihpsg13g2-c4m_2024.10.15-1+35.1_all.deb Size: 329252 MD5sum: 9e7427b3eadca8fe59ea5141787843fc SHA1: 3c73554e657052d15fca45ba7c65ceb0e5a24a78 SHA256: 6e4e9b97036eb0e02ae4f61ccc17c695296cc5b14cd18aac275a896b5855bbb4 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Chips4Makers PDKMaster targeted for IHPSG13G2 technology. Package: coriolis-pdk-nsx2 Version: 2025.12.31-1+7.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 503594 Depends: coriolis-pdk-gf180mcu, yosys Filename: all/coriolis-pdk-nsx2_2025.12.31-1+7.1_all.deb Size: 84759776 MD5sum: f97b347817fa1bbc61116b0c6e34a7a5 SHA1: 40a6b0d1b6eb3355fd9721f3e74fc249eac5208b SHA256: f73cc84a8348b7eb92f2a5e59da6f9820043a6929ec4a0bec441a593dd3dd94f Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: NSxLib2 symbolic library configured for target nodes: * IHP 130 sg13g2 * GF 180 MCU * SkyWater 130 Package: coriolis-pdk-sky130-c4m Version: 2025.12.31-1+4.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 317295 Depends: coriolis-pdk-gf180mcu, yosys Filename: all/coriolis-pdk-sky130-c4m_2025.12.31-1+4.1_all.deb Size: 10192276 MD5sum: c835c7aa7817d3851ec7be5e145418c2 SHA1: ca0baa7f282f987a3a5dfc37907565a169672fab SHA256: 6b129da46ee85401b0c39ed9171ad751fc8c4b710b0891677f6904302d06b600 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: Chips4Makers PDK Master cells libraries for Coriolis/SkyWater 130A Package: klayout Version: 0.30.3-1+1.1 Architecture: i386 Maintainer: Jean-Paul Chaput Installed-Size: 216267 Depends: libc6 (>= 2.34), libgcc-s1 (>= 7), libgit2-1.5 (>= 1.5.0), libpython3.11 (>= 3.11.0), libqt5core5a (>= 5.15.1), libqt5designer5 (>= 5.6.0~beta), libqt5gui5 (>= 5.14.1) | libqt5gui5-gles (>= 5.14.1), libqt5multimedia5 (>= 5.11.1), libqt5multimediawidgets5 (>= 5.6.0~beta), libqt5network5 (>= 5.14.1), libqt5printsupport5 (>= 5.10.0), libqt5sql5 (>= 5.10.0), libqt5svg5 (>= 5.6.0~beta), libqt5widgets5 (>= 5.15.1), libqt5xml5 (>= 5.1.0), libqt5xmlpatterns5 (>= 5.9.0), libruby3.1 (>= 3.1.2), libstdc++6 (>= 11), zlib1g (>= 1:1.1.4) Filename: i386/klayout_0.30.3-1+1.1_i386.deb Size: 40183508 MD5sum: 581cba998c9c7ecdab814b578370c0f0 SHA1: 3ccc1cee6191e4ec0ac31d57ba1157c2eb0e2a4d SHA256: 53ef29bb1f9e562aa651dba23895bcbe92ade314f9bebbb602d041f34253de04 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://klayout.de/ Description: Yosys Open SYnthesis Suite Package: klayout Version: 0.30.3-1+1.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 210043 Depends: libc6 (>= 2.34), libgcc-s1 (>= 3.4), libgit2-1.5 (>= 1.5.0), libpython3.11 (>= 3.11.0), libqt5core5a (>= 5.15.1), libqt5designer5 (>= 5.6.0~beta), libqt5gui5 (>= 5.14.1) | libqt5gui5-gles (>= 5.14.1), libqt5multimedia5 (>= 5.11.1), libqt5multimediawidgets5 (>= 5.6.0~beta), libqt5network5 (>= 5.14.1), libqt5printsupport5 (>= 5.10.0), libqt5sql5 (>= 5.10.0), libqt5svg5 (>= 5.6.0~beta), libqt5widgets5 (>= 5.15.1), libqt5xml5 (>= 5.1.0), libqt5xmlpatterns5 (>= 5.9.0), libruby3.1 (>= 3.1.2), libstdc++6 (>= 11), zlib1g (>= 1:1.1.4) Filename: amd64/klayout_0.30.3-1+1.1_amd64.deb Size: 40154556 MD5sum: a74d986e0d1089f5cd4254af09634425 SHA1: f8ac20d735741950b5db88a76dd7e27c3c78ce88 SHA256: 44e8b2900eb9c2a14455f0bb93adcd4df70e38d95d566d3f147effe99fa4fe10 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://klayout.de/ Description: Yosys Open SYnthesis Suite Package: klayout-dbgsym Source: klayout Version: 0.30.3-1+1.1 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Jean-Paul Chaput Installed-Size: 123 Depends: klayout (= 0.30.3-1+1.1) Filename: i386/klayout-dbgsym_0.30.3-1+1.1_i386.deb Size: 9776 MD5sum: 9ab24b0029ad82732dfb7978344b7ab8 SHA1: 56e11cd8d8fdd3a91f13fd6acd891514752b8077 SHA256: a31f9e2d221e351506099384f3e69f9e13d297e30180bf4fbaf6216bada92ec8 Section: Science/debug Priority: optional Description: debug symbols for klayout Build-Ids: 068816e9448a3a965ca81ccdbcdfd96250178bff 12476882f7bae4572906828208e54046c19993ad 1565e0a63f082edbc184b9750b9827acd6b3694b 37bbb51e987501258aeb30f18b6da15858999400 51bc691467d7be3a6c82101b70b6acf4f3d348d8 5880ce4a3b1d95be021fc94006d1381daaa12a59 a55a9fa04c83086f78ece3ca6a99ffddc0e65996 b53049c218a1f876b2964d2214843cae201ec638 c7d60bcb00833cbabd704370f148a01a0624f7b6 c90f960aa375f04c20a13216b417f1f773c1f454 ccf1d8641f5273211e41ca1ef0b3b469dbc6ef49 cd4f81a95c74238226247a65b1d57d0d7b6a07f8 ce8550739fc534ba223ae4ba579cee9185eea407 cf1a69bd60966ce88547a5dad23f022519cc6dce cf2f8efdb732abd5b720bf9e786d2639885f2290 d867165fe1139064fe7ba9cffa9f1c4214e5161b dbc642791546c1ba6557713bfc82c657d335cb15 e3e97d8864b3a694a4744622952fffe8412bf7e2 ea3610c2aaee86969a12ce65e5ba3d466a5c1717 Package: klayout-dbgsym Source: klayout Version: 0.30.3-1+1.1 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 157 Depends: klayout (= 0.30.3-1+1.1) Filename: amd64/klayout-dbgsym_0.30.3-1+1.1_amd64.deb Size: 9800 MD5sum: 947e78d46ee847bd0abda022646dc2a8 SHA1: 66c495fdeaf86e6f690a42be203a87018181fe15 SHA256: 3e512c0d5f4c4b009e5b693b2525cb5250f89a1401680feb4169e337fcffc707 Section: Science/debug Priority: optional Description: debug symbols for klayout Build-Ids: 082ff7711e192f174dda944eff38e1dfe630209f 08cad41371666af357bf9d9775be860440e0dff0 1586f9c18e20f7759f86833bacd909114e45a1fc 1c79045c12ad86b102075bf1e6bd114880705b33 3db2a70af2b06df8e0c988830d8ffd2ed9add697 48a9108c51e1e2e138fa6fb0e853105adee358f3 4e1ea7d4acb0f0d1b73c09bdbd620e486e64e239 733295184f5371ada9eadb91ff06e923e50aff09 7f3db578856f7a539af801d7acfa17615c04a0d6 86b6503e363d762b2371a231ba5fde6d45cb177a 86bb1f39ceab73064f882f3282ba4313eddbc354 94bfc6e2923bfc22437d3bc53e25f256ddf6dd4e 9510d75dedf5120f3d9faedc675db061a7d099e3 96aadcd3c57fa115a44bc9881c46e9af55a491b1 a7875c30ab05aefc5dd6d8d9c96319733c84870f c3dfd4f54d8f04700447471d8d97bef66f9691b1 c697e82eb09920cef4319a9a0960eb02bb154a11 e50fc362de2b7471686cde250d4c9fdd95a1bab3 fff2a251f591bee2c343aca7267f465e77ae795b Package: libngspice0 Source: ngspice Version: 44.2-1+9.1 Architecture: i386 Maintainer: mazher iqbal Installed-Size: 9359 Depends: libc6 (>= 2.35), libfftw3-double3 (>= 3.3.10), libgcc-s1 (>= 3.0), libgomp1 (>= 4.9), libstdc++6 (>= 4.5) Filename: i386/libngspice0_44.2-1+9.1_i386.deb Size: 2381708 MD5sum: 3049174aa5d0fbaaa60babbc7fdc2360 SHA1: ab908e0f58bad79fb228fdeb897e4ae4a2d8e426 SHA256: c5054a83e756a4bd1e47617125fd323fdd30f6e7a5f2ae01083c2b038700d345 Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: NGSpice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. This package contains the NGSpice library. Package: libngspice0 Source: ngspice Version: 44.2-1+9.1 Architecture: amd64 Maintainer: mazher iqbal Installed-Size: 9904 Depends: libc6 (>= 2.35), libfftw3-double3 (>= 3.3.10), libgcc-s1 (>= 3.0), libgomp1 (>= 4.9), libstdc++6 (>= 4.5) Filename: amd64/libngspice0_44.2-1+9.1_amd64.deb Size: 2668276 MD5sum: d9aed92cf6d3f5a2ff5ca03bb312f44b SHA1: 825c1cb122e2af07d807e7c022af585f778d8771 SHA256: 36beea4f73ac04d2c1bf3377b4dc93091551c4415fd33e9073ea87ff4fca2961 Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: NGSpice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. This package contains the NGSpice library. Package: libngspice0-dev Source: ngspice Version: 44.2-1+9.1 Architecture: i386 Maintainer: mazher iqbal Installed-Size: 26 Depends: libngspice0 Filename: i386/libngspice0-dev_44.2-1+9.1_i386.deb Size: 5952 MD5sum: e250709fe1bca5ab1f592e1f8a605d4f SHA1: f8d447b289fa5332587c7528e217cc4c31eb2446 SHA256: 0131a467abeeade6191d6a346cb9abb7dbb94c829f96d5cfc6c88540990c5df6 Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: Ngspice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. This package contains the NGSpice library. Package: libngspice0-dev Source: ngspice Version: 44.2-1+9.1 Architecture: amd64 Maintainer: mazher iqbal Installed-Size: 26 Depends: libngspice0 Filename: amd64/libngspice0-dev_44.2-1+9.1_amd64.deb Size: 5948 MD5sum: 29727c84faf302b65b1abbad3b861029 SHA1: 22fa7de64417c0212cf2d4b0e20242b6d04970db SHA256: 4389daa1717e3833de90b6074f1d71d909a9cca2bdb8d9b13ca94651ddd81ec2 Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: Ngspice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. This package contains the NGSpice library. Package: magic Version: 8.3.590-1+9.1 Architecture: i386 Maintainer: Jean-Paul Chaput Installed-Size: 3892 Depends: libc6 (>= 2.34), libcairo2 (>= 1.6.0), libgl1, libglu1-mesa | libglu1, libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), libx11-6, zlib1g (>= 1:1.1.4) Filename: i386/magic_8.3.590-1+9.1_i386.deb Size: 912156 MD5sum: afe5b21c18a6224ec48bfc75d155d023 SHA1: 0dd3b3480a61e5294b2b3f08d28e0bb12d4b6ed3 SHA256: 1ef84b233eb1924a437cdc9504024d194a2d6b42e51c6762f2c1b9fd96e5906d Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: http://opencircuitdesign.com/magic/index.html Description: Magic is a venerable VLSI layout tool. Magic VLSI remains popular with universities and small companies. . Magic is widely cited as being the easiest tool to use for circuit layout, even for people who ultimately rely on commercial tools for their product design flow. Package: magic Version: 8.3.590-1+9.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 4253 Depends: libc6 (>= 2.34), libcairo2 (>= 1.6.0), libgl1, libglu1-mesa | libglu1, libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), libx11-6, zlib1g (>= 1:1.1.4) Filename: amd64/magic_8.3.590-1+9.1_amd64.deb Size: 983812 MD5sum: 0e24634b92a3826b8f0d2cd1006a298a SHA1: 6c931434f21e488847796f2a613df1933c6f4f93 SHA256: eb332a2bcfad537339c387f012a5bd23d09e34593bb1551ab07f4048e7fe26c1 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: http://opencircuitdesign.com/magic/index.html Description: Magic is a venerable VLSI layout tool. Magic VLSI remains popular with universities and small companies. . Magic is widely cited as being the easiest tool to use for circuit layout, even for people who ultimately rely on commercial tools for their product design flow. Package: magic-doc Source: magic Version: 8.3.590-1+9.1 Architecture: i386 Maintainer: Jean-Paul Chaput Installed-Size: 2460 Filename: i386/magic-doc_8.3.590-1+9.1_i386.deb Size: 532380 MD5sum: ed22bc8041896d1a8dd00b236b6e21a1 SHA1: ff894bed68f037fe969be044478342e3bd873066 SHA256: f6f2d4588f524bc912900bcc31aa7b402c6e96a6e84c0c2b4ab3523d61b6377b Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: http://opencircuitdesign.com/magic/index.html Description: This package contains the documentation of magic in the postscript and some tutorials. Package: magic-doc Source: magic Version: 8.3.590-1+9.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 2460 Filename: amd64/magic-doc_8.3.590-1+9.1_amd64.deb Size: 532500 MD5sum: cd25fb34a9ecdf899d04e74a4fc1b628 SHA1: cd3e45249e4371b0b34f5b7675d63ec9b5a08859 SHA256: 34084483fe6032a04219a64f13ded71f8080bd65f46bca601a2bc15415ac49ab Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: http://opencircuitdesign.com/magic/index.html Description: This package contains the documentation of magic in the postscript and some tutorials. Package: ngspice Version: 44.2-1+9.1 Architecture: i386 Maintainer: mazher iqbal Installed-Size: 8271 Depends: libc6 (>= 2.35), libfftw3-double3 (>= 3.3.10), libfontconfig1 (>= 2.12.6), libgcc-s1 (>= 3.0), libgomp1 (>= 4.9), libreadline8 (>= 6.0), libstdc++6 (>= 4.5), libtinfo6 (>= 6), libx11-6, libxaw7 (>= 2:1.0.14), libxft2 (>> 2.1.1), libxt6 Filename: i386/ngspice_44.2-1+9.1_i386.deb Size: 2112200 MD5sum: b520f29538b956efa29ed6c0b9ec4792 SHA1: 4eb10d77ad6c8587c6b9a67706b8e874bb2ea324 SHA256: c1a74dd80d3bc4cee252142af8370a7ed1ea0c9135e85a1dadb459898011b513 Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: NGSspice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. NGSpice is a part of gEDA project, a full GPL'd suite of Electronic Design Autiomation tools. Package: ngspice Version: 44.2-1+9.1 Architecture: amd64 Maintainer: mazher iqbal Installed-Size: 9198 Depends: libc6 (>= 2.35), libfftw3-double3 (>= 3.3.10), libfontconfig1 (>= 2.12.6), libgcc-s1 (>= 3.0), libgomp1 (>= 4.9), libreadline8 (>= 6.0), libstdc++6 (>= 4.5), libtinfo6 (>= 6), libx11-6, libxaw7 (>= 2:1.0.14), libxft2 (>> 2.1.1), libxt6 Filename: amd64/ngspice_44.2-1+9.1_amd64.deb Size: 2429540 MD5sum: 5b01994ab846ec9f3c3d86560ddc2aba SHA1: cc18f68b3149c678d1b3e6ea7c36ef57161864bd SHA256: 3c72b81485f83e7ccf6a924755288510b5e489052a79ab9c1368806f3a7e4053 Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: NGSspice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. NGSpice is a part of gEDA project, a full GPL'd suite of Electronic Design Autiomation tools. Package: openvaf Version: 23.5.0-1+4.1 Architecture: i386 Maintainer: Jean-Paul Chaput Installed-Size: 2 Filename: i386/openvaf_23.5.0-1+4.1_i386.deb Size: 856 MD5sum: 36f8a056c1e185c3ab18d01aea1189c4 SHA1: dac29b1683abdb310a07bcdeed2cb17dfc88738c SHA256: e5c66fc35bbba18f59191aaae6b7870efa2252dfb98f6986986b40b7c461df63 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://openvaf.semimod.de/ Description: OpenVAF is a Next-Generation Verilog-A compiler that empowers the open source silicon revolution. Package: openvaf Version: 23.5.0-1+5.3 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 216501 Depends: libc6 (>= 2.34), libgcc-s1 (>= 4.2), libstdc++6 (>= 4.8) Filename: amd64/openvaf_23.5.0-1+5.3_amd64.deb Size: 36683420 MD5sum: 9db6dcf0cec88465fd92d55a892cf1a0 SHA1: 246b8d2ae1c6286bedddbdfb861dce4356251cdf SHA256: d951835ef43d0c658a0cdd367791f8a9a3c233f183e516476a727f1e4bd07c97 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://openvaf.semimod.de/ Description: OpenVAF is a Next-Generation Verilog-A compiler that empowers the open source silicon revolution. Package: sv2v Version: 0.0.12-1+1.3 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 10048 Depends: libc6 (>= 2.34), libgmp10 (>= 2:6.2.1+dfsg1) Filename: amd64/sv2v_0.0.12-1+1.3_amd64.deb Size: 1466872 MD5sum: 8efaa5961c03e0a9cb77bad9099a74c2 SHA1: abc0a65dd292189e0e943741c52a0e3fa5ee735c SHA256: 56b08639866ddabecec7c613f650e3eed94f77ceb75eb5ffe769688e7a6bef74 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://github.com/zachjs/sv2v/ Description: sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs. . The primary goal of this project is to create a completely free and open-source tool for converting SystemVerilog to Verilog. While methods for performing this conversion already exist, they generally either rely on commercial tools, or are limited in scope. . This project was originally developed to target Yosys, and so allows for disabling the conversion of (passing through) those SystemVerilog features that Yosys supports. Package: tas-yagle Version: 3.4.6-1+177.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 32523 Depends: libc6 (>= 2.34), libedit2 (>= 2.11-20080614-0), libgcc-s1 (>= 3.0), libstdc++6 (>= 5), libtcl8.6 (>= 8.6.0), libx11-6, libxm4 (>= 2.3.4), libxpm4, libxt6 Filename: amd64/tas-yagle_3.4.6-1+177.1_amd64.deb Size: 7793056 MD5sum: e24a1d67bfd006e6817a3c3059924fd8 SHA1: 3fb34ea5ca5498e2f319445cdc72de4e32604579 SHA256: f6b9e2a1b37546057320c079e0ed1c62d24ecc93371413d9f059cf47f694c737 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Tas/Yagle STA. Package: tas-yagle-doc Source: tas-yagle Version: 3.4.6-1+177.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 16625 Filename: amd64/tas-yagle-doc_3.4.6-1+177.1_amd64.deb Size: 8182164 MD5sum: 1fc11283e47e67f7ef908d0624bef45d SHA1: a4d488747e99319fb1a902a04fb12ecce57ed5a9 SHA256: e5d574f786f60d632a32da0b902246b7ab2fd605177b0de40e67fb4391924ef6 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: Documentation & tutorials for Tas/Yagle Package: yosys Version: 0.58-1+11.1 Architecture: i386 Maintainer: Jean-Paul Chaput Installed-Size: 57427 Depends: libc6 (>= 2.35), libffi8 (>= 3.4), libgcc-s1 (>= 4.2), libreadline8 (>= 6.0), libstdc++6 (>= 12), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.2.0) Filename: i386/yosys_0.58-1+11.1_i386.deb Size: 14048068 MD5sum: 54ec0637054bf10f5a8a2ee88adcb80d SHA1: 995c2b27f7c83d6626b0dca0c407d4f50f2961e1 SHA256: 9417a140092e9b45d409ee799fbef1ceadff1988d3a552321384bb964308d801 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://yosyshq.net/ Description: Yosys Open SYnthesis Suite Package: yosys Version: 0.58-1+11.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 51873 Depends: libc6 (>= 2.35), libffi8 (>= 3.4), libgcc-s1 (>= 3.4), libreadline8 (>= 6.0), libstdc++6 (>= 12), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.2.0) Filename: amd64/yosys_0.58-1+11.1_amd64.deb Size: 13610764 MD5sum: 388e679fce82a4c9955b8d88e989117d SHA1: 800fe89100deba8159245e40b4f2cac17cf4c115 SHA256: 3aa1a969b8d6377001af631925056ce9af65a819fa304abdaeefc5e8e57f19b6 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://yosyshq.net/ Description: Yosys Open SYnthesis Suite Package: yosys-slang Version: 2025.10.31.ba1859e-1+19.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 9687 Depends: libc6 (>= 2.35), libgcc-s1 (>= 3.4), libstdc++6 (>= 12), yosys Filename: amd64/yosys-slang_2025.10.31.ba1859e-1+19.1_amd64.deb Size: 2422676 MD5sum: 8d86cf8ebe6513b09973cde858c705de SHA1: 939565ecf9f4523894a44e3804625577685faef0 SHA256: 85b26a3df5e132a1e9b5cb6c9db2aee75152ba0bb21c2e4990fadd30a3260c05 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://github.com/povik/yosys-slang.git Description: SystemVerilog frontend for Yosys