Package: alliance Version: 5.0-1+113.2 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 23420 Depends: libc6 (>= 2.38), libgcc-s1 (>= 3.0), libstdc++6 (>= 13.1), libx11-6, libxm4 (>= 2.3.4), libxpm4, libxt6t64 Filename: amd64/alliance_5.0-1+113.2_amd64.deb Size: 3720316 MD5sum: fe4a5eafc5426aa10ae907c7e2dad88d SHA1: ace6c39219dd21ce975463eaa8826480c93acc34 SHA256: 434831d53db4507691760687ec702731624ad2dd326596e7d0f5db4f0814df61 Section: electronics Priority: optional Homepage: https://soc-extras.lip6.fr/en/alliance-abstract-en/ Description: VLSI CAD Tools Alliance is a complete set of free CAD tools and portable libraries for VLSI design. It includes a VHDL compiler and simulator, logic synthesis tools, and automatic place and route tools. A complete set of portable CMOS libraries is provided, including a RAM generator, a ROM generator and a data-path compiler. Alliance is the result of more than ten years effort spent at ASIM department of LIP6 laboratory of the Sorbonne University (France). Alliance has been used for research projects such as the 875 000 transistors StaCS superscalar microprocessor and 400 000 transistors IEEE Gigabit HSL Router. . You are kindly requested to mention: "Designed with alliance (c) LIP6, Sorbonne Université" so as to spread the word about "alliance CAD system" and its development team. . Alliance provides CAD tools covering most of all the digital design flow: * VHDL Compilation and Simulation * Model checking and formal proof * RTL and Logic synthesis * Data-Path compilation * Macro-cells generation * Place and route * Layout edition * Netlist extraction and verification * Design rules checking Package: alliance-doc Source: alliance Version: 5.0-1+113.2 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 6788 Filename: amd64/alliance-doc_5.0-1+113.2_amd64.deb Size: 2050384 MD5sum: 58ff0a73a3947df2907acb29894d797d SHA1: 81ba09441c24a9bf98ca15c5e1aad5ed467c3029 SHA256: 58a5ad3f16d676aeb68c25a247ec5c63a9addb4007d43c0e1a1a36d1adf74350 Section: electronics Priority: optional Multi-Arch: foreign Homepage: https://soc-extras.lip6.fr/en/alliance-abstract-en/ Description: Documentation & tutorials for Alliance CAD tools Package: coriolis-eda Version: 2.5.5-1+231.2 Architecture: amd64 Maintainer: Mazher Iqbal Installed-Size: 475883 Depends: libboost-program-options1.83.0 (>= 1.83.0), libbz2-1.0, libc6 (>= 2.38), libgcc-s1 (>= 3.0), libqt5core5t64 (>= 5.15.1), libqt5gui5t64 (>= 5.8.0) | libqt5gui5-gles (>= 5.8.0), libqt5printsupport5t64 (>= 5.0.2), libqt5widgets5t64 (>= 5.2.0), libqwt-qt5-6 (>= 6.1.2), libstdc++6 (>= 14), python3-doit Filename: amd64/coriolis-eda_2.5.5-1+231.2_amd64.deb Size: 102553324 MD5sum: 2e36d87641629809841d2b0d965eb559 SHA1: cd1c6926d16870add4a4d713e7cf6e6be519c09b SHA256: 6f41230901f77c844ba21bb13f56a9a61efce49fe6e58c9fb3acbf24d96d630c Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: A FOSS EDA tool suite for physical design. Provides an integrated place & route for ASICs. Support symbolic layout (Alliance) and real layout (GDS). While mainly written in C++, it has an extensive Python interface. Package: coriolis-eda-dev Source: coriolis-eda Version: 2.5.5-1+231.2 Architecture: amd64 Maintainer: Mazher Iqbal Installed-Size: 32 Filename: amd64/coriolis-eda-dev_2.5.5-1+231.2_amd64.deb Size: 6992 MD5sum: e39c6d2e085cd5b69f6d4f6be416125d SHA1: c5879e35b77fbeb738d88b714476f6c7590c8e49 SHA256: 42414c9002f1542ba25605b65d7cace80e40478993f50b41ef7fbeabc36b5c55 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: Development files for the Coriolis EDA toolchain. Package: coriolis-eda-doc Source: coriolis-eda Version: 2.5.5-1+231.2 Architecture: amd64 Maintainer: Mazher Iqbal Installed-Size: 64416 Filename: amd64/coriolis-eda-doc_2.5.5-1+231.2_amd64.deb Size: 12376200 MD5sum: 02d332039dfdfe8d2de66bcdc2d2db0c SHA1: 407e268c5b819b23af0552813b27f19a21895f89 SHA256: 79ff94ee4de1ce074f7c238f59f3ef6ccfa22c0e6160ee49c72c67c23ff88dac Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: This documentation is a subset of the Coriolis website. It provides informations for: - The Hurricane database (C++). - The Coriolis Core (CRL) infrastructure (C++). - The dedicated C++/Python wrapper (Isobar,C/C++/Python). The Python interface has been made so that it mimics closely the C++ one, so the C++ doc can be used for both. - Some technical specifications. - A general introduction and user's manual. Package: coriolis-pdk-gf180mcu Version: 2025.07.21-1+6.2 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 5022936 Depends: coriolis-eda Filename: all/coriolis-pdk-gf180mcu_2025.07.21-1+6.2_all.deb Size: 387334320 MD5sum: 2f673828af229e1bf9c0165bd486c98d SHA1: 1a6e423f66f858d06d8c9f3ddb5fc66df69626ae SHA256: a30b8bc69e1b1c3c8369522cb0e49159d89fa2b2773c47a504f970d8fc4be612 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Global Foundries 180 MCU open PDK Package: coriolis-pdk-gf180mcu-c4m Version: 2025.7.28-1+9.2 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 7851 Depends: coriolis-pdk-gf180mcu, yosys Filename: all/coriolis-pdk-gf180mcu-c4m_2025.7.28-1+9.2_all.deb Size: 418308 MD5sum: 96400253d7e533e4581f74ee1249a636 SHA1: a99a61dd89c9801cdfbea891340f3f3398e258bb SHA256: 6d7a8d34f0f39f1288eb5476a5c3f18bfafd0e9e0e187f13ba5a7079f90877a9 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Chips4Makers PDKMaster targeted for GF 180 MCU technology. Package: coriolis-pdk-ihpsg13g2 Version: 2025.12.27-1+2.2 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 342360 Depends: coriolis-eda Filename: all/coriolis-pdk-ihpsg13g2_2025.12.27-1+2.2_all.deb Size: 42757304 MD5sum: d41414755baa38b3fe9c36c56d3de5a1 SHA1: ccf4dd3ca3a9530f5397c10d20406b90e87ac6ce SHA256: fd3a754cb836cfb200cea3710a29003189bb2e790e6daeb5c900222b65cb974e Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Chips4Makers PDKMaster targeted for IHPSG13G2 technology. Package: coriolis-pdk-ihpsg13g2-c4m Version: 2024.10.15-1+35.2 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 7012 Depends: coriolis-pdk-ihpsg13g2, yosys Filename: all/coriolis-pdk-ihpsg13g2-c4m_2024.10.15-1+35.2_all.deb Size: 322712 MD5sum: 31afb7c8e85a1f463e3c04bdf05ef7d3 SHA1: 6eb10d1b9d56974633b33f3b4cf5ff3b165139e2 SHA256: 54b3782fbe59d32631bef0aeb0fad92e79499304936e1f4944bb21827de26b3c Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Chips4Makers PDKMaster targeted for IHPSG13G2 technology. Package: coriolis-pdk-nsx2 Version: 2025.12.31-1+7.2 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 503442 Depends: coriolis-pdk-gf180mcu, yosys Filename: all/coriolis-pdk-nsx2_2025.12.31-1+7.2_all.deb Size: 84719492 MD5sum: 77418c27087d5703ed37dcf09968c722 SHA1: 9c2ee5d44177b3e1ba81d413153b1f38f440b051 SHA256: e290ba7e99fc08d4684557b28821e28a53c11eac786ff78cb6cd12aabc1bebbd Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: NSxLib2 symbolic library configured for target nodes: * IHP 130 sg13g2 * GF 180 MCU * SkyWater 130 Package: coriolis-pdk-sky130-c4m Version: 2025.12.31-1+4.2 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 282903 Depends: coriolis-pdk-gf180mcu, yosys Filename: all/coriolis-pdk-sky130-c4m_2025.12.31-1+4.2_all.deb Size: 9416944 MD5sum: a39e787184709b817653ef9929613960 SHA1: 495c5db0358f8f45e85d7c3e2b553205d9e9d2d5 SHA256: fab28c597fd3d08e79ab72d3cc636d4a7c9effa7b669dd890c575088a4ad04ca Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: Chips4Makers PDK Master cells libraries for Coriolis/SkyWater 130A Package: klayout Version: 0.30.3-1+1.2 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 206119 Depends: libc6 (>= 2.38), libgcc-s1 (>= 3.4), libgit2-1.9 (>= 1.9.0), libpython3.13 (>= 3.13.0~rc3), libqt5core5t64 (>= 5.15.1), libqt5designer5 (>= 5.6.0~beta), libqt5gui5t64 (>= 5.14.1) | libqt5gui5-gles (>= 5.14.1), libqt5multimedia5 (>= 5.11.1), libqt5multimediawidgets5 (>= 5.6.0~beta), libqt5network5t64 (>= 5.14.1), libqt5printsupport5t64 (>= 5.10.0), libqt5sql5t64 (>= 5.10.0), libqt5svg5 (>= 5.6.0~beta), libqt5widgets5t64 (>= 5.15.1), libqt5xml5t64 (>= 5.1.0), libqt5xmlpatterns5 (>= 5.9.0), libruby3.3 (>= 3.3.0~preview1), libstdc++6 (>= 14), zlib1g (>= 1:1.1.4) Filename: amd64/klayout_0.30.3-1+1.2_amd64.deb Size: 39966148 MD5sum: 94aeb92e67bf4464e70de58602e28753 SHA1: 4c579e19b349969d6615b73a61195228a9d9da2f SHA256: 139781611f3a828b70cf621b672cae3d447798db6708e52e52b7370a7b3c2215 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://klayout.de/ Description: Yosys Open SYnthesis Suite Package: klayout-dbgsym Source: klayout Version: 0.30.3-1+1.2 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 160 Depends: klayout (= 0.30.3-1+1.2) Filename: amd64/klayout-dbgsym_0.30.3-1+1.2_amd64.deb Size: 9804 MD5sum: ced47f17b0c6c52cfeb2b08fea29bea1 SHA1: 08407e28236c3e69892bf1d019c727563870af62 SHA256: 0663e485c0f53f51593f5090b504f932ba486b3cbc4afd17ce25f428b59c9282 Section: Science/debug Priority: optional Description: debug symbols for klayout Build-Ids: 136aad1af65e9b69957275c6fef6e872c0b7432e 142a6287288c986a33c90d03aef0d681d8c43f01 16ffcc482ec09e6b58105674a1683e58257f3da3 177be150e76bca1413229b5091223773e5d88ad3 47d300a50a32830563cd10e7ad18581fcf4649dc 4b7e53c2c4ae4889c58dd19877be7d1de58542d3 5492e7ce1a628fb6bc3445ce1644e186b10ab270 57f75116d5169eb2ac96b56d0d1cb4c4f6de1e4d 649557a4ff8b3a52d77531cdc88e62ace58f5973 6de16511c2e16b9d453bdbd67f691a37bb233871 6e4dcde245d30bea11fbeeba4648d175582fabdd 9eef15c5ac19fc66b8c0a669ca852bb36a1376c2 a50eea1e799e3bc0bba49b74686df5583032d95c c2cbbfd15dbdf668c689966d211bf1a7dc020e8e d0ad99f641e0fe5ec4b0ea3c22c1150124d2b3a0 d44ec2892d1f6a2e1d1b736e88808cbbcfe12259 d5c6dcecae4988ad6ea7240bfe1d771237045762 d5fe6f54599da2d55f8d1c169f8e205a410e75b0 fe687acd3873ee36b992aaf851dd4966ec82530f Package: libngspice0 Source: ngspice Version: 44.2-1+7.2 Architecture: amd64 Maintainer: mazher iqbal Installed-Size: 9827 Depends: libc6 (>= 2.38), libfftw3-double3 (>= 3.3.10), libgcc-s1 (>= 3.0), libgomp1 (>= 4.9), libstdc++6 (>= 13.1) Filename: amd64/libngspice0_44.2-1+7.2_amd64.deb Size: 2639720 MD5sum: 3b6e8a9333c18b64237a65f79d8d4967 SHA1: b933825eecf538acf18b0ddf7e11d366b7e3f83c SHA256: e9c3ebf017870d09c930adf5dbb04e5d4ab942fc003a4e52ea1d0d0766f0c91f Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: NGSpice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. This package contains the NGSpice library. Package: libngspice0-dev Source: ngspice Version: 44.2-1+7.2 Architecture: amd64 Maintainer: mazher iqbal Installed-Size: 26 Depends: libngspice0 Filename: amd64/libngspice0-dev_44.2-1+7.2_amd64.deb Size: 5948 MD5sum: 86ff781526f90ef72a3d2cb40a3e5d9d SHA1: 0eb5e529261c23b4d1fec3cc9c7197c2f4e9ed9c SHA256: 7431ca1690c90dfba6d3c76d543f9a62d0ed0c6460ac8f4548dbea26c3a16d5f Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: Ngspice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. This package contains the NGSpice library. Package: magic Version: 8.3.588-1+49.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 4253 Depends: libc6 (>= 2.34), libcairo2 (>= 1.6.0), libgl1, libglu1-mesa | libglu1, libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), libx11-6, zlib1g (>= 1:1.1.4) Filename: amd64/magic_8.3.588-1+49.1_amd64.deb Size: 984352 MD5sum: 684ee5bf94feaedc5b41d87598231cf2 SHA1: 9d80c79c97ed3bfcb1a61a50a55e41a72d41478d SHA256: 07e7dae2a1e1fdb98389008b1bc1c6e375ed26ec506ed6dfe115a4087ef71623 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: http://opencircuitdesign.com/magic/index.html Description: Magic is a venerable VLSI layout tool. Magic VLSI remains popular with universities and small companies. . Magic is widely cited as being the easiest tool to use for circuit layout, even for people who ultimately rely on commercial tools for their product design flow. Package: magic-doc Source: magic Version: 8.3.588-1+49.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 2460 Filename: amd64/magic-doc_8.3.588-1+49.1_amd64.deb Size: 532332 MD5sum: 98061f50d4bb0b60f42b8fd899870208 SHA1: a1404049bc8f37f87f02da6434215fb9e91a3f43 SHA256: f2dda869098b442b9a73c21960821edbe8440962ee9f6960dfd3fe045d3e55b1 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: http://opencircuitdesign.com/magic/index.html Description: This package contains the documentation of magic in the postscript and some tutorials. Package: ngspice Version: 44.2-1+7.2 Architecture: amd64 Maintainer: mazher iqbal Installed-Size: 9124 Depends: libc6 (>= 2.38), libfftw3-double3 (>= 3.3.10), libfontconfig1 (>= 2.12.6), libgcc-s1 (>= 3.0), libgomp1 (>= 4.9), libreadline8t64 (>= 6.0), libstdc++6 (>= 13.1), libtinfo6 (>= 6), libx11-6, libxaw7 (>= 2:1.0.16), libxft2 (>> 2.1.1), libxt6t64 Filename: amd64/ngspice_44.2-1+7.2_amd64.deb Size: 2408364 MD5sum: 743924b5c6275d72bccf9eb2ba737dcc SHA1: 369752e1dd141deb631b5bd540318aa9d1fbf60d SHA256: 80cc14d86f663b80647e006faf825e29847c1ea49a23050cbfe3acf5e9bbdc32 Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: NGSspice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. NGSpice is a part of gEDA project, a full GPL'd suite of Electronic Design Autiomation tools. Package: openvaf Version: 23.5.0-1+5.2 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 216501 Depends: libc6 (>= 2.34), libgcc-s1 (>= 4.2), libstdc++6 (>= 4.8) Filename: amd64/openvaf_23.5.0-1+5.2_amd64.deb Size: 36684400 MD5sum: ec9fc7c34c48b99453d2460f009e206e SHA1: 9ca5592ebfcb6400971886fdd686e3dc23cb815f SHA256: eb3e88fe9dae15acf32aea4c74e349e9d5db45c22abf35573bcbaad207ed84e7 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://openvaf.semimod.de/ Description: OpenVAF is a Next-Generation Verilog-A compiler that empowers the open source silicon revolution. Package: sv2v Version: 0.0.12-1+1.2 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 10048 Depends: libc6 (>= 2.34), libgmp10 (>= 2:6.3.0+dfsg) Filename: amd64/sv2v_0.0.12-1+1.2_amd64.deb Size: 1467784 MD5sum: eafe095197e4bbd24721de2399ac91cb SHA1: 49fa0e629a2cfe790b107d6c211cf59fc1263d76 SHA256: 4c23f465de854249a6ab0de1c82a5eb8c095b9eca89c6e315a6ceca3da003e70 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://github.com/zachjs/sv2v/ Description: sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs. . The primary goal of this project is to create a completely free and open-source tool for converting SystemVerilog to Verilog. While methods for performing this conversion already exist, they generally either rely on commercial tools, or are limited in scope. . This project was originally developed to target Yosys, and so allows for disabling the conversion of (passing through) those SystemVerilog features that Yosys supports. Package: tas-yagle Version: 3.4.6-1+170.2 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 33419 Depends: libc6 (>= 2.38), libedit2 (>= 2.11-20080614-0), libgcc-s1 (>= 3.0), libstdc++6 (>= 13.1), libtcl8.6 (>= 8.6.0), libx11-6, libxm4 (>= 2.3.4), libxpm4, libxt6t64 Filename: amd64/tas-yagle_3.4.6-1+170.2_amd64.deb Size: 8039108 MD5sum: eb658c1f3c469dbf09f0666d858ff178 SHA1: 7ca242b3036e5497468995d2198d1c7b178a97ac SHA256: 933ac010dbbc88e215d31312118bd669ae48c1c5225bf62edef98ae67db80d18 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Tas/Yagle STA. Package: tas-yagle-doc Source: tas-yagle Version: 3.4.6-1+170.2 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 16625 Filename: amd64/tas-yagle-doc_3.4.6-1+170.2_amd64.deb Size: 8181796 MD5sum: 706c3de3d5d1f53291b3f9abdd6435a8 SHA1: 7759615eb8e3cb3c28798f532b74c547064691cc SHA256: 58a34977fb88df3094729a9d35c87e753e03ecde49a18eed44d6bd03d021ffdd Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: Documentation & tutorials for Tas/Yagle Package: yosys Version: 0.58-1+11.2 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 52484 Depends: libc6 (>= 2.38), libffi8 (>= 3.4), libgcc-s1 (>= 3.4), libreadline8t64 (>= 6.0), libstdc++6 (>= 14), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.2.0) Filename: amd64/yosys_0.58-1+11.2_amd64.deb Size: 13962480 MD5sum: e77b07c6b31524065ee1aad5b2000517 SHA1: 4245b1581aa0d5917558dc435a0fbfee63183205 SHA256: 0f46bb03eabcc62adc29617e541b0170c3109a1609c35e151de2fa907c43365c Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://yosyshq.net/ Description: Yosys Open SYnthesis Suite Package: yosys-slang Version: 2025.10.31.ba1859e-1+19.2 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 10261 Depends: libc6 (>= 2.35), libgcc-s1 (>= 3.4), libstdc++6 (>= 14), yosys Filename: amd64/yosys-slang_2025.10.31.ba1859e-1+19.2_amd64.deb Size: 2490528 MD5sum: aaa54a94273fdcf6a73e299548fed8d8 SHA1: 6783a865d885353ad15a5c5009852e79360d8c01 SHA256: c1ca8afd99ddca19f0d2ed804598058004e6c5051b21958776b869f4df0d017f Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://github.com/povik/yosys-slang.git Description: SystemVerilog frontend for Yosys