Package: alliance Version: 5.0-1+120.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 13709 Depends: libc6 (>= 2.34) Filename: amd64/alliance_5.0-1+120.1_amd64.deb Size: 1729090 MD5sum: 9b0181437a80f9dcc9cad07fe19f74bc SHA1: 3b49eb14288d138b4a777f913ac639767ee11782 SHA256: 4abbf62c07c57106c67685689fcb3d7d80200d260318bc4d1973d88cd103ca9d Section: electronics Priority: optional Homepage: https://soc-extras.lip6.fr/en/alliance-abstract-en/ Description: VLSI CAD Tools Alliance is a complete set of free CAD tools and portable libraries for VLSI design. It includes a VHDL compiler and simulator, logic synthesis tools, and automatic place and route tools. A complete set of portable CMOS libraries is provided, including a RAM generator, a ROM generator and a data-path compiler. Alliance is the result of more than ten years effort spent at ASIM department of LIP6 laboratory of the Sorbonne University (France). Alliance has been used for research projects such as the 875 000 transistors StaCS superscalar microprocessor and 400 000 transistors IEEE Gigabit HSL Router. . You are kindly requested to mention: "Designed with alliance (c) LIP6, Sorbonne Université" so as to spread the word about "alliance CAD system" and its development team. . Alliance provides CAD tools covering most of all the digital design flow: * VHDL Compilation and Simulation * Model checking and formal proof * RTL and Logic synthesis * Data-Path compilation * Macro-cells generation * Place and route * Layout edition * Netlist extraction and verification * Design rules checking Package: alliance-doc Source: alliance Version: 5.0-1+120.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 2619 Filename: amd64/alliance-doc_5.0-1+120.1_amd64.deb Size: 846106 MD5sum: 7b0c367386d373d610aa84f1a7f71062 SHA1: fbe916ee319ea482a6bc1fdc116af31c64758ea6 SHA256: 12687e17821a6c7a4da515c1d7ca755692cb6388a2b432aafe36f2271938aae8 Section: electronics Priority: optional Multi-Arch: foreign Homepage: https://soc-extras.lip6.fr/en/alliance-abstract-en/ Description: Documentation & tutorials for Alliance CAD tools Package: coriolis-eda Version: 2.5.5-1+241.1 Architecture: amd64 Maintainer: Mazher Iqbal Installed-Size: 472664 Depends: libboost-program-options1.74.0 (>= 1.74.0), libbz2-1.0, libc6 (>= 2.34), libgcc-s1 (>= 3.0), libqt5core5a (>= 5.15.1), libqt5gui5 (>= 5.8.0) | libqt5gui5-gles (>= 5.8.0), libqt5printsupport5 (>= 5.0.2), libqt5widgets5 (>= 5.2.0), libqwt-qt5-6 (>= 6.1.2), libstdc++6 (>= 11), python3-doit Filename: amd64/coriolis-eda_2.5.5-1+241.1_amd64.deb Size: 114616818 MD5sum: 32e50e4d4b91137b16a6ecf908ff6de2 SHA1: b2655724df17fcf2e48a9716e4a1babb32da5ce9 SHA256: 019ba8ef2b2a50b34ada377822acfb00b831af3fdb10dbcde73fe412f4d22b9a Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: A FOSS EDA tool suite for physical design. Provides an integrated place & route for ASICs. Support symbolic layout (Alliance) and real layout (GDS). While mainly written in C++, it has an extensive Python interface. Package: coriolis-eda-dev Source: coriolis-eda Version: 2.5.5-1+241.1 Architecture: amd64 Maintainer: Mazher Iqbal Installed-Size: 32 Filename: amd64/coriolis-eda-dev_2.5.5-1+241.1_amd64.deb Size: 6842 MD5sum: 194c20605037cebeba3446d409482cb9 SHA1: 2ad7b46cb581669c89dcefb7b83780f7099ef369 SHA256: cf74dd78f76f21071db1c442da0536cd51799343d84209664880c8bde9a29996 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: Development files for the Coriolis EDA toolchain. Package: coriolis-eda-doc Source: coriolis-eda Version: 2.5.5-1+241.1 Architecture: amd64 Maintainer: Mazher Iqbal Installed-Size: 64416 Filename: amd64/coriolis-eda-doc_2.5.5-1+241.1_amd64.deb Size: 12493324 MD5sum: b1c8b6dccd947d83293d34c2b960ee87 SHA1: d107d29fdf1d6c1004b3383e66086c66652ece58 SHA256: 125b8f1c57509c522d28a3efbfcd638b505a65793c9c61ad2db6b91f0bc27aa8 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: This documentation is a subset of the Coriolis website. It provides informations for: - The Hurricane database (C++). - The Coriolis Core (CRL) infrastructure (C++). - The dedicated C++/Python wrapper (Isobar,C/C++/Python). The Python interface has been made so that it mimics closely the C++ one, so the C++ doc can be used for both. - Some technical specifications. - A general introduction and user's manual. Package: coriolis-pdk-gf180mcu Version: 2025.07.21-1+7.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 5022407 Depends: coriolis-eda Filename: all/coriolis-pdk-gf180mcu_2025.07.21-1+7.1_all.deb Size: 403207428 MD5sum: 50d8713a8517bedd8ce0ebd93d4ea89e SHA1: 61bfe95c02d13eaaf3bafcc2322e0134ffc8637a SHA256: ac2cc173d0c92a8f3a91d3ee741579f17056be3c3d87880a50fbf3686c3e7e59 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Global Foundries 180 MCU open PDK Package: coriolis-pdk-gf180mcu-c4m Version: 2025.7.28-1+10.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 7404 Depends: coriolis-pdk-gf180mcu, yosys Filename: all/coriolis-pdk-gf180mcu-c4m_2025.7.28-1+10.1_all.deb Size: 439910 MD5sum: 317d164a17d9cb234a71b188c37cff5f SHA1: dd80d545c9dd7a60d1942b03c065d1946dab4233 SHA256: daeff3e7c4db275ce03249054ec85ac257a261a8bf5ffa3ff87dccb126bb201a Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Chips4Makers PDKMaster targeted for GF 180 MCU technology. Package: coriolis-pdk-ihpsg13g2 Version: 2025.12.27-1+4.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 341730 Depends: coriolis-eda Filename: all/coriolis-pdk-ihpsg13g2_2025.12.27-1+4.1_all.deb Size: 48357952 MD5sum: 149743ae1637fe34d565fbc8e948eb2e SHA1: 9212a8577b0ece35ce42c7812d20833f70fc72f0 SHA256: b2915a59d0cf27287869e43f121bac6343016c4add3c8b28ef680cf45e89bb02 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Chips4Makers PDKMaster targeted for IHPSG13G2 technology. Package: coriolis-pdk-ihpsg13g2-c4m Version: 2024.10.15-1+36.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 6186 Depends: coriolis-pdk-ihpsg13g2, yosys Filename: all/coriolis-pdk-ihpsg13g2-c4m_2024.10.15-1+36.1_all.deb Size: 313092 MD5sum: d9aacc14d1f378469ec722fcf8e47e5e SHA1: 08aeed50c4e49258c528eceac8d081560fec1109 SHA256: aa945207bf3c4193318eed8ff85ad2539e5117ff8b8e0efea6c3317e49be7eca Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Chips4Makers PDKMaster targeted for IHPSG13G2 technology. Package: coriolis-pdk-nsx2 Version: 2025.12.31-1+8.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 503105 Depends: coriolis-pdk-gf180mcu, yosys Filename: all/coriolis-pdk-nsx2_2025.12.31-1+8.1_all.deb Size: 96900052 MD5sum: 7fb97a907589a33c6f252423e1ea20aa SHA1: 7958ed8d326a8756ffa95ad08f8b6cc3ac137640 SHA256: 854f06c540e7a8f764527c281dcf3906e2260368cad59b489e7896a963bdd257 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: NSxLib2 symbolic library configured for target nodes: * IHP 130 sg13g2 * GF 180 MCU * SkyWater 130 Package: coriolis-pdk-sky130-c4m Version: 2025.12.31-1+5.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 283292 Depends: coriolis-pdk-gf180mcu, yosys Filename: all/coriolis-pdk-sky130-c4m_2025.12.31-1+5.1_all.deb Size: 11486172 MD5sum: ca49770940c694ec06fefb24726c49b7 SHA1: d625b1171825c6f939a5f993caf683c6ed9e3649 SHA256: 9e6759079e63e67f3dd4026e662b53414110b56d840a9f56b89365431f12a267 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: Chips4Makers PDK Master cells libraries for Coriolis/SkyWater 130A Package: klayout Version: 0.30.3-1+1.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 220262 Depends: libc6 (>= 2.34), libgcc-s1 (>= 3.4), libgit2-1.1 (>= 1.1.0), libpython3.10 (>= 3.10.0), libqt5core5a (>= 5.15.1), libqt5designer5 (>= 5.6.0~beta), libqt5gui5 (>= 5.14.1) | libqt5gui5-gles (>= 5.14.1), libqt5multimedia5 (>= 5.11.1), libqt5multimediawidgets5 (>= 5.6.0~beta), libqt5network5 (>= 5.14.1), libqt5printsupport5 (>= 5.10.0), libqt5sql5 (>= 5.10.0), libqt5svg5 (>= 5.6.0~beta), libqt5widgets5 (>= 5.15.1), libqt5xml5 (>= 5.1.0), libqt5xmlpatterns5 (>= 5.9.0), libruby3.0 (>= 3.0.0~preview1), libstdc++6 (>= 11), zlib1g (>= 1:1.1.4) Filename: amd64/klayout_0.30.3-1+1.1_amd64.deb Size: 45724934 MD5sum: 02bb91dc0a5fad50ab50f648a706a09a SHA1: 6a97821dc0adbdd595633d25ec86ae54e177cacc SHA256: a8f4f7b67968972b29c4194fbe3751f8880cf79f6c540e7a5988b250c65fd679 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://klayout.de/ Description: Yosys Open SYnthesis Suite Package: libngspice0 Source: ngspice Version: 44.2-1+9.1 Architecture: amd64 Maintainer: mazher iqbal Installed-Size: 9877 Depends: libc6 (>= 2.35), libfftw3-double3 (>= 3.3.5), libgcc-s1 (>= 3.0), libgomp1 (>= 4.9), libstdc++6 (>= 4.5) Filename: amd64/libngspice0_44.2-1+9.1_amd64.deb Size: 2911618 MD5sum: b5d60e32ebfce43f98494630528e24f8 SHA1: a7f4f8c873d57f020f1866296973a1ab4978210a SHA256: 6f4254a41bb9ce601e2fbbd74671b5293959e7bc25fa71ecd921ebdcdcacc60a Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: NGSpice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. This package contains the NGSpice library. Package: libngspice0-dev Source: ngspice Version: 44.2-1+9.1 Architecture: amd64 Maintainer: mazher iqbal Installed-Size: 26 Depends: libngspice0 Filename: amd64/libngspice0-dev_44.2-1+9.1_amd64.deb Size: 5744 MD5sum: 2c6d6fe12d5efddea9f38ab50fc880cf SHA1: aa1b7414a7c685bb9c43ed5108f474a167b07718 SHA256: e6fe3bd496a71ea34a5cc2ea2013a11ee9e8d3e0e0fb0fd3dbd64e7e5ad17c7b Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: Ngspice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. This package contains the NGSpice library. Package: magic Version: 8.3.590-1+9.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 4394 Depends: libc6 (>= 2.34), libcairo2 (>= 1.6.0), libgl1, libglu1-mesa | libglu1, libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), libx11-6, zlib1g (>= 1:1.1.4) Filename: amd64/magic_8.3.590-1+9.1_amd64.deb Size: 1091842 MD5sum: 9aeb777f387c848f95e550aa7a3f4dde SHA1: 7f8f38a0aa83804ab8c8ea2a1bbe73e070ba356c SHA256: 83dbd0fe3512ca06dd401446ca97e4cbd193d83c19f634cccf79a34a8b31efa9 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: http://opencircuitdesign.com/magic/index.html Description: Magic is a venerable VLSI layout tool. Magic VLSI remains popular with universities and small companies. . Magic is widely cited as being the easiest tool to use for circuit layout, even for people who ultimately rely on commercial tools for their product design flow. Package: magic-doc Source: magic Version: 8.3.590-1+9.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 2460 Filename: amd64/magic-doc_8.3.590-1+9.1_amd64.deb Size: 547602 MD5sum: 8645f5511d43d6b11e71265aedbcdd4b SHA1: a137b0e14fc676c06d6b21d98615de14190b08dd SHA256: 123ef86d6f656597a7983142b4ce6abae985ba8e2948fa4077c683b82ac4888a Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: http://opencircuitdesign.com/magic/index.html Description: This package contains the documentation of magic in the postscript and some tutorials. Package: ngspice Version: 44.2-1+9.1 Architecture: amd64 Maintainer: mazher iqbal Installed-Size: 9184 Depends: libc6 (>= 2.35), libfftw3-double3 (>= 3.3.5), libfontconfig1 (>= 2.12.6), libgcc-s1 (>= 3.0), libgomp1 (>= 4.9), libreadline8 (>= 6.0), libstdc++6 (>= 4.5), libtinfo6 (>= 6), libx11-6, libxaw7 (>= 2:1.0.14), libxft2 (>> 2.1.1), libxt6 Filename: amd64/ngspice_44.2-1+9.1_amd64.deb Size: 2632494 MD5sum: 9c12420b5cf54b9c502af979c127bba5 SHA1: e882cc18b0d7f3875de4ad2947c9a5abb21ef979 SHA256: 6bf53c78ab320a7a44161b8b13b957c9316adad948e69fea6cc309cd84d3d30b Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: NGSspice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. NGSpice is a part of gEDA project, a full GPL'd suite of Electronic Design Autiomation tools. Package: openvaf Version: 23.5.0-1+5.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 216501 Depends: libc6 (>= 2.15), libgcc-s1 (>= 4.2), libstdc++6 (>= 4.8) Filename: amd64/openvaf_23.5.0-1+5.1_amd64.deb Size: 38609086 MD5sum: 171590a1aca27dcfe53cf7d239c2b8ad SHA1: 51c018678797a6326ab7b8541dc7fcc9ef2ff634 SHA256: 087415d0c6b8c4ca052bc44c450557e3321e513ad9ee533316b0e966b0780107 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://openvaf.semimod.de/ Description: OpenVAF is a Next-Generation Verilog-A compiler that empowers the open source silicon revolution. Package: sv2v Version: 0.0.12-1+1.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 10048 Depends: libc6 (>= 2.34), libgmp10 (>= 2:6.2.1+dfsg) Filename: amd64/sv2v_0.0.12-1+1.1_amd64.deb Size: 1612726 MD5sum: 0834f4bd282cab6d952fc3ba72ffe959 SHA1: abc50fa3f3099153a6208bbefabbc54c4c8f583f SHA256: bf05d7efcd21f434c373b94a4665394cf0983755187e0f98ae651ef47311a55e Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://github.com/zachjs/sv2v/ Description: sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs. . The primary goal of this project is to create a completely free and open-source tool for converting SystemVerilog to Verilog. While methods for performing this conversion already exist, they generally either rely on commercial tools, or are limited in scope. . This project was originally developed to target Yosys, and so allows for disabling the conversion of (passing through) those SystemVerilog features that Yosys supports. Package: tas-yagle Version: 3.4.6-1+177.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 33313 Depends: libc6 (>= 2.34), libedit2 (>= 2.11-20080614-0), libgcc-s1 (>= 3.0), libstdc++6 (>= 5), libtcl8.6 (>= 8.6.0), libx11-6, libxm4 (>= 2.3.4), libxpm4, libxt6 Filename: amd64/tas-yagle_3.4.6-1+177.1_amd64.deb Size: 6917554 MD5sum: 526e5ed458f032badaf81687ecc9e59c SHA1: 34620636fca25128eb15250f37a5ea0a39be9f30 SHA256: 43ba3d9ec3789d1c84b3b19af8317bee32794aa2bb02f4155c11ef481172b5af Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Tas/Yagle STA. Package: tas-yagle-doc Source: tas-yagle Version: 3.4.6-1+177.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 16625 Filename: amd64/tas-yagle-doc_3.4.6-1+177.1_amd64.deb Size: 8233644 MD5sum: 44f54e694102cbe47f1e65623fdcf249 SHA1: 00db5068ad78877126f7dad6baceaed735da934c SHA256: 80f04a4891dbce15af2620e63898be2c48b7303b3d8986f368a75c56af673853 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: Documentation & tutorials for Tas/Yagle Package: yosys Version: 0.58-1+11.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 52761 Depends: libc6 (>= 2.35), libffi8 (>= 3.4), libgcc-s1 (>= 3.4), libreadline8 (>= 6.0), libstdc++6 (>= 12), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.2.0) Filename: amd64/yosys_0.58-1+11.1_amd64.deb Size: 14648112 MD5sum: 8e758c4a624db53d9d6371239cd90fea SHA1: 81700aeb0d7374c27debeb5e3d318165f68f4148 SHA256: f5e25c0f2550a601614f88bbccd26027c300c5b944a3abf781ec6951963463cf Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://yosyshq.net/ Description: Yosys Open SYnthesis Suite