Package: alliance Version: 5.0-1+120.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 23768 Depends: libc6 (>= 2.38), libgcc-s1 (>= 3.0), libstdc++6 (>= 13.1), libx11-6, libxm4 (>= 2.3.4), libxpm4, libxt6t64 Filename: amd64/alliance_5.0-1+120.1_amd64.deb Size: 4086200 MD5sum: 456b6ef344a719d0d006e34de4e1cbac SHA1: e898b477e53c97523baa7b42862cee80d5499b0f SHA256: 12a71021f6e13ba57e75d4303267484769f88dee1ab21ed9c5524fe12ba0d7b6 Section: electronics Priority: optional Homepage: https://soc-extras.lip6.fr/en/alliance-abstract-en/ Description: VLSI CAD Tools Alliance is a complete set of free CAD tools and portable libraries for VLSI design. It includes a VHDL compiler and simulator, logic synthesis tools, and automatic place and route tools. A complete set of portable CMOS libraries is provided, including a RAM generator, a ROM generator and a data-path compiler. Alliance is the result of more than ten years effort spent at ASIM department of LIP6 laboratory of the Sorbonne University (France). Alliance has been used for research projects such as the 875 000 transistors StaCS superscalar microprocessor and 400 000 transistors IEEE Gigabit HSL Router. . You are kindly requested to mention: "Designed with alliance (c) LIP6, Sorbonne Université" so as to spread the word about "alliance CAD system" and its development team. . Alliance provides CAD tools covering most of all the digital design flow: * VHDL Compilation and Simulation * Model checking and formal proof * RTL and Logic synthesis * Data-Path compilation * Macro-cells generation * Place and route * Layout edition * Netlist extraction and verification * Design rules checking Package: alliance-doc Source: alliance Version: 5.0-1+120.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 6768 Filename: amd64/alliance-doc_5.0-1+120.1_amd64.deb Size: 2069048 MD5sum: 8e4ef2569dc6075be091593e9c83ae55 SHA1: dae0642e9ac1eca022f7cdda829e2b8f703a184e SHA256: 13473c76b38e9075c07e3201525c7c42098b43cb195d8d36e138044a62aa3c9a Section: electronics Priority: optional Multi-Arch: foreign Homepage: https://soc-extras.lip6.fr/en/alliance-abstract-en/ Description: Documentation & tutorials for Alliance CAD tools Package: coriolis-eda Version: 2.5.5-1+241.1 Architecture: amd64 Maintainer: Mazher Iqbal Installed-Size: 480671 Depends: libboost-program-options1.83.0 (>= 1.83.0), libbz2-1.0, libc6 (>= 2.38), libgcc-s1 (>= 3.0), libqt5core5t64 (>= 5.15.1), libqt5gui5t64 (>= 5.8.0) | libqt5gui5-gles (>= 5.8.0), libqt5printsupport5t64 (>= 5.0.2), libqt5widgets5t64 (>= 5.2.0), libqwt-qt5-6 (>= 6.1.2), libstdc++6 (>= 14), python3-doit Filename: amd64/coriolis-eda_2.5.5-1+241.1_amd64.deb Size: 115988372 MD5sum: b0bfefea5d851150e0625c4e99f1af28 SHA1: 6122649f82b6da16d921e6433253b8fd2a583647 SHA256: ccdc15b8e04091f9b100ca97cff4f230701ea2bcdfc9e0e802cadd9e761eb984 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: A FOSS EDA tool suite for physical design. Provides an integrated place & route for ASICs. Support symbolic layout (Alliance) and real layout (GDS). While mainly written in C++, it has an extensive Python interface. Package: coriolis-eda-dev Source: coriolis-eda Version: 2.5.5-1+241.1 Architecture: amd64 Maintainer: Mazher Iqbal Installed-Size: 32 Filename: amd64/coriolis-eda-dev_2.5.5-1+241.1_amd64.deb Size: 6858 MD5sum: 6412fd85fb875104afcfd3da7e182e1d SHA1: d82f9e70470ebf0b03f40ea070a2d19e296f16c5 SHA256: 948eb22ba2fbec0a16cdf9ad3e287c0c0c3248a748a44cb8b287e2be2a44e5a2 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: Development files for the Coriolis EDA toolchain. Package: coriolis-eda-doc Source: coriolis-eda Version: 2.5.5-1+241.1 Architecture: amd64 Maintainer: Mazher Iqbal Installed-Size: 64416 Filename: amd64/coriolis-eda-doc_2.5.5-1+241.1_amd64.deb Size: 12442564 MD5sum: 8622eb7f2de046efe83689621f5b122e SHA1: 2abdcbbae9ed93519d3987a8454c5053fd78b180 SHA256: b23f4df72e0ffac01bda21fb271a5ede2967e0fa861311c1742617f7662b9aae Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: This documentation is a subset of the Coriolis website. It provides informations for: - The Hurricane database (C++). - The Coriolis Core (CRL) infrastructure (C++). - The dedicated C++/Python wrapper (Isobar,C/C++/Python). The Python interface has been made so that it mimics closely the C++ one, so the C++ doc can be used for both. - Some technical specifications. - A general introduction and user's manual. Package: coriolis-pdk-gf180mcu Version: 2025.07.21-1+7.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 5022937 Depends: coriolis-eda Filename: all/coriolis-pdk-gf180mcu_2025.07.21-1+7.1_all.deb Size: 397409010 MD5sum: 8fa5b6d9b854624294369d88bd6f7216 SHA1: 3a1650c968568e06e59bede6d740220dc069f11c SHA256: 07ac9b742c551f40feba826998a664e8ab67ef23fd64e56acda408834ac87020 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Global Foundries 180 MCU open PDK Package: coriolis-pdk-gf180mcu-c4m Version: 2025.7.28-1+10.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 7875 Depends: coriolis-pdk-gf180mcu, yosys Filename: all/coriolis-pdk-gf180mcu-c4m_2025.7.28-1+10.1_all.deb Size: 450096 MD5sum: 12081d1e7e6b2526f14075625df427ff SHA1: 5206c58d81f0162e748a894317e98b6e9494d695 SHA256: a4bc49dc1bc271f800e85d79a1c8e6ac222c34eddb0df30686fd38f7e9a98dff Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Chips4Makers PDKMaster targeted for GF 180 MCU technology. Package: coriolis-pdk-ihpsg13g2 Version: 2025.12.27-1+4.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 342354 Depends: coriolis-eda Filename: all/coriolis-pdk-ihpsg13g2_2025.12.27-1+4.1_all.deb Size: 47723726 MD5sum: ded95773994152e3a47655a1039b3b95 SHA1: 728be0fce55df3854fc53ead30c901215a911f1d SHA256: 9f11c7615d407cc186b0664404cdcd871c6447f105e2cc2800df807ecbe0361b Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Chips4Makers PDKMaster targeted for IHPSG13G2 technology. Package: coriolis-pdk-ihpsg13g2-c4m Version: 2024.10.15-1+36.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 7072 Depends: coriolis-pdk-ihpsg13g2, yosys Filename: all/coriolis-pdk-ihpsg13g2-c4m_2024.10.15-1+36.1_all.deb Size: 339320 MD5sum: 792d95f4560acb9ee579698e7b7eec21 SHA1: 021eba72b76230c4a46571849f69e342ef623fe8 SHA256: 83743f6124eaf1f7dd59f77c85806aea0c3ecdc65e5febfe992fbd7c508deb56 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Chips4Makers PDKMaster targeted for IHPSG13G2 technology. Package: coriolis-pdk-nsx2 Version: 2025.12.31-1+8.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 503442 Depends: coriolis-pdk-gf180mcu, yosys Filename: all/coriolis-pdk-nsx2_2025.12.31-1+8.1_all.deb Size: 96648318 MD5sum: 132c902cb4298137dbabbd48419b333a SHA1: f31a41db47ba172611a2bc0a28b58d7091e51d98 SHA256: f5e72213c7d4afd172ab179de4f4c26819edc75e677dfa190056a746bc9b2867 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: NSxLib2 symbolic library configured for target nodes: * IHP 130 sg13g2 * GF 180 MCU * SkyWater 130 Package: coriolis-pdk-sky130-c4m Version: 2025.12.31-1+5.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 285841 Depends: coriolis-pdk-gf180mcu, yosys Filename: all/coriolis-pdk-sky130-c4m_2025.12.31-1+5.1_all.deb Size: 10248364 MD5sum: 24b6e3da8329ddf2197fea9ac162c97a SHA1: e28e2ae7abd8c499c7122d0535eca20059f71f97 SHA256: a1281ee46489491d51f2be198542a16427cd86865449e7fa3207e5f4200669b9 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: Chips4Makers PDK Master cells libraries for Coriolis/SkyWater 130A Package: klayout Version: 0.30.3-1+1.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 220180 Depends: libc6 (>= 2.38), libgcc-s1 (>= 3.4), libgit2-1.7 (>= 1.7.0), libpython3.12t64 (>= 3.12.1), libqt5core5t64 (>= 5.15.1), libqt5designer5 (>= 5.6.0~beta), libqt5gui5t64 (>= 5.14.1) | libqt5gui5-gles (>= 5.14.1), libqt5multimedia5 (>= 5.11.1), libqt5multimediawidgets5 (>= 5.6.0~beta), libqt5network5t64 (>= 5.14.1), libqt5printsupport5t64 (>= 5.10.0), libqt5sql5t64 (>= 5.10.0), libqt5svg5 (>= 5.6.0~beta), libqt5widgets5t64 (>= 5.15.1), libqt5xml5t64 (>= 5.1.0), libqt5xmlpatterns5 (>= 5.9.0), libruby3.3 (>= 3.3.0~preview1), libstdc++6 (>= 14), zlib1g (>= 1:1.1.4) Filename: amd64/klayout_0.30.3-1+1.1_amd64.deb Size: 45411576 MD5sum: ad8440dfc565fd9a01a8084f00292b42 SHA1: 41e4898f40279b46e40eecb242b807832a08d52b SHA256: 0a4db047ad1492658741c05526130f640e9bbc79b97b87dfb0408c8b333b1b1c Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://klayout.de/ Description: Yosys Open SYnthesis Suite Package: libngspice0 Source: ngspice Version: 44.2-1+9.1 Architecture: amd64 Maintainer: mazher iqbal Installed-Size: 9912 Depends: libc6 (>= 2.38), libfftw3-double3 (>= 3.3.10), libgcc-s1 (>= 3.0), libgomp1 (>= 4.9), libstdc++6 (>= 13.1) Filename: amd64/libngspice0_44.2-1+9.1_amd64.deb Size: 2916302 MD5sum: 26ac1cf02e4a500130a5d370e7068586 SHA1: 65405f0f9cf556786942f562936baea93ff6349c SHA256: d7470037eb8b7a7f2ba2253cb5b06a5492d7531355299f5fbc8bb7e8d4808f45 Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: NGSpice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. This package contains the NGSpice library. Package: libngspice0-dev Source: ngspice Version: 44.2-1+9.1 Architecture: amd64 Maintainer: mazher iqbal Installed-Size: 26 Depends: libngspice0 Filename: amd64/libngspice0-dev_44.2-1+9.1_amd64.deb Size: 5764 MD5sum: bbf27fb4628673cbe94b3cdc41dddb60 SHA1: dc326fd9fb80cc3d5da37f90403aa8256ea75eb4 SHA256: 6ba137fe3d035c7486177f4c354b0abf146e5fd5658a3ac877967d42e02b336a Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: Ngspice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. This package contains the NGSpice library. Package: magic Version: 8.3.590-1+9.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 4398 Depends: libc6 (>= 2.34), libcairo2 (>= 1.6.0), libgl1, libglu1-mesa | libglu1, libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), libx11-6, zlib1g (>= 1:1.1.4) Filename: amd64/magic_8.3.590-1+9.1_amd64.deb Size: 1080858 MD5sum: 94071911cf1cc47d1c97d805b750194c SHA1: 98322e7d65f457a767f3ff3a39f54a3bb2f8fc05 SHA256: 234ddf362a79f8975ab052146b1ef4d48aa1766ca13aca3e556af27b470eb7e7 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: http://opencircuitdesign.com/magic/index.html Description: Magic is a venerable VLSI layout tool. Magic VLSI remains popular with universities and small companies. . Magic is widely cited as being the easiest tool to use for circuit layout, even for people who ultimately rely on commercial tools for their product design flow. Package: magic-doc Source: magic Version: 8.3.590-1+9.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 2460 Filename: amd64/magic-doc_8.3.590-1+9.1_amd64.deb Size: 546710 MD5sum: 6672a1e8a9a6647a7ddd400ce47dccb0 SHA1: 2dc8312bcb056bfda9b616a1ce352fc8cd9cc453 SHA256: f3e30cc16dd6c25a31556d63879db19d485932c9a69f673398e2c727728327cf Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: http://opencircuitdesign.com/magic/index.html Description: This package contains the documentation of magic in the postscript and some tutorials. Package: ngspice Version: 44.2-1+9.1 Architecture: amd64 Maintainer: mazher iqbal Installed-Size: 9240 Depends: libc6 (>= 2.38), libfftw3-double3 (>= 3.3.10), libfontconfig1 (>= 2.12.6), libgcc-s1 (>= 3.0), libgomp1 (>= 4.9), libreadline8t64 (>= 6.0), libstdc++6 (>= 13.1), libtinfo6 (>= 6), libx11-6, libxaw7 (>= 2:1.0.14), libxft2 (>> 2.1.1), libxt6t64 Filename: amd64/ngspice_44.2-1+9.1_amd64.deb Size: 2659482 MD5sum: 8281c155dbac65741645ac55adad9675 SHA1: 27980b227b34283240fdc284ef6f1dba46119e93 SHA256: a048f6aadc742dd9002a89bdde290c805d5dce7f6de01c53427b384ec657bbbd Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: NGSspice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. NGSpice is a part of gEDA project, a full GPL'd suite of Electronic Design Autiomation tools. Package: openvaf Version: 23.5.0-1+5.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 216501 Depends: libc6 (>= 2.34), libgcc-s1 (>= 4.2), libstdc++6 (>= 4.8) Filename: amd64/openvaf_23.5.0-1+5.1_amd64.deb Size: 38408002 MD5sum: 3cbdfe71b319a54bd875a0897749d52f SHA1: 3afd4900b128d76c406665925a4ab0b4a32c7d56 SHA256: b82772e5ecc6afea686244180dacb77b17e1e464ae7cf06cbbcaed47535969da Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://openvaf.semimod.de/ Description: OpenVAF is a Next-Generation Verilog-A compiler that empowers the open source silicon revolution. Package: sv2v Version: 0.0.12-1+1.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 10048 Depends: libc6 (>= 2.34), libgmp10 (>= 2:6.3.0+dfsg) Filename: amd64/sv2v_0.0.12-1+1.1_amd64.deb Size: 1588042 MD5sum: 8a70af8ebb9dc9f4b2df8249cd6da122 SHA1: ad4e990b3dd3b63ace83ca25e677debf16bd5f12 SHA256: 2bc0211d460e1897a93a93a54761cc5f7841e1e8ec43b87d52a3368bd48d4d4c Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://github.com/zachjs/sv2v/ Description: sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs. . The primary goal of this project is to create a completely free and open-source tool for converting SystemVerilog to Verilog. While methods for performing this conversion already exist, they generally either rely on commercial tools, or are limited in scope. . This project was originally developed to target Yosys, and so allows for disabling the conversion of (passing through) those SystemVerilog features that Yosys supports. Package: tas-yagle Version: 3.4.6-1+177.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 34149 Depends: libc6 (>= 2.38), libedit2 (>= 2.11-20080614-0), libgcc-s1 (>= 3.0), libstdc++6 (>= 13.1), libtcl8.6 (>= 8.6.0), libx11-6, libxm4 (>= 2.3.4), libxpm4, libxt6t64 Filename: amd64/tas-yagle_3.4.6-1+177.1_amd64.deb Size: 7033362 MD5sum: 474b32fe29ddde7e582c507be041c8f1 SHA1: 63775a86c6ba901a637758f7300fe139b46d95bf SHA256: 9dbe83a36db2466774794ca06916a9f482d9713698f7ce14fb8d13ac429eb51f Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Tas/Yagle STA. Package: tas-yagle-doc Source: tas-yagle Version: 3.4.6-1+177.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 16625 Filename: amd64/tas-yagle-doc_3.4.6-1+177.1_amd64.deb Size: 8225730 MD5sum: 0d96bd0ea87b9f159611992f5c67782f SHA1: 62287fd33812505fcc48dac52525f6d19c291760 SHA256: 0e3533dd05475db29a1610e33848e9630ea1f20c6fcdc553da2fb1eb6185dbae Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: Documentation & tutorials for Tas/Yagle Package: yosys Version: 0.58-1+11.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 54626 Depends: libc6 (>= 2.38), libffi8 (>= 3.4), libgcc-s1 (>= 3.4), libreadline8t64 (>= 6.0), libstdc++6 (>= 14), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.2.0) Filename: amd64/yosys_0.58-1+11.1_amd64.deb Size: 15366466 MD5sum: e3e36b0f7227c2c3164a7b3eae3b5a63 SHA1: 349e91b9b72cd391f64a904701aa685e9e6f189c SHA256: cc76a3203d762a16082b0fb71cf4139530a5c1073e217b849abcf7b6b7e5b454 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://yosyshq.net/ Description: Yosys Open SYnthesis Suite Package: yosys-slang Version: 2025.10.31.ba1859e-1+19.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 10670 Depends: libc6 (>= 2.35), libgcc-s1 (>= 3.4), libstdc++6 (>= 14), yosys Filename: amd64/yosys-slang_2025.10.31.ba1859e-1+19.1_amd64.deb Size: 2785558 MD5sum: 8d8672e2261c04eb26ea8ae49cf2a5f3 SHA1: 6fead18dc966db67656d8440fede1a6f1e0a02e2 SHA256: 672723ff725f40f6106025ebd8732cdf167ee44d4f69b2c842868751676bd598 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://github.com/povik/yosys-slang.git Description: SystemVerilog frontend for Yosys